darkprince   3년 전

컴파일 에러의 이유가 뭘까요

shg9411   3년 전

컴파일 에러는 눌러보시면 친절히 설명이 나와있습니다.

24번 라인에서 auto i : v하셨는데

26번 라인 v.first, v.second을 출력하시네요.

darkprince   3년 전

감삼당 ㅎㅎ

댓글을 작성하려면 로그인해야 합니다.