md6620   1년 전

혹시몰라서 else:뒤를없애봐도 안되네요..

junyub2   1년 전

반례로는 0 45 ~ 0 59가 있습니다

H = 0 일때

alarm_h 를 23으로 확정짓지 말고 M의 크기에 따라 조건을 따로 하면 정답이 나오지 않을까 싶네요

댓글을 작성하려면 로그인해야 합니다.